Imiyalo eningiliziwe yokudlula ngaphezulu iprosesa

Ukweqa ngokweqile iprosesa kulula, kodwa kudinga olunye ulwazi nokuqapha. Ukufinyelela okusemandleni kwalesi sifundo kukuvumela ukuba uthole ukukhuthazwa okuhle kokusebenza, okuyinto ngezinye izikhathi ayitholakali. Kwezinye izimo, ungadlula i-processor nge-BIOS, kodwa uma lesi sici sishoda noma ufuna ukwenza ukuphathwa ngokuqondile ngaphansi kweWindows, kungcono ukusebenzisa isofthiwe ekhethekile.

Enye yezinhlelo ezilula neyejwayelekile i-SetFSB. Kuhle ngoba ungadlula ngaphezulu i-intel core 2 iphrosesa ye-duo namamodeli afanayo, kanye nezinqubo ezihlukahlukene zanamuhla. Isimiso sokusebenza kwalolu hlelo silula - sandisa imvamisa yebhasi yesistimu ngokusebenza kwi-PLL chip efakwe ebhodini le-motherboard. Ngakho-ke, konke okudingekayo kuwe ukwazi uhlobo lwebhodi lakho bese uhlola ukuthi luhlu lwasekelwayo.

Landa i-SetFSB

Hlola ukwesekwa komama webhodi

Okokuqala udinga ukwazi igama lebhodi le-motherboard. Uma ungenayo imininingwane enjalo, sebenzisa isofthiwe ekhethekile, isibonelo, uhlelo lwe-CPU-Z.

Ngemuva kokuthi uzimisele uphawu lwebhodi, yiya kuwebhusayithi esemthethweni yeSetFSB. Ukwenza lapho, ukubeka kahle, akuyona into engcono kakhulu, kodwa yonke imininingwane edingekayo ilapha. Uma ikhadi liluhlu lwabaxhasiwe, ungaqhubeka nokuqhubeka ujabule.

Landa Izici

Izinguqulo zakamuva zalolu hlelo, ngeshwa, zikhokhwa abantu abakhuluma isiRussia. Kumele ubeke i-$ 6 ukuze uthole ikhodi yokuqalisa.

Kunenye indlela - ukulanda inguqulo endala yohlelo, sincoma inguqulo 2.2.129.95. Ungenza lokhu, isibonelo, lapha.

Ukufakwa kohlelo nokulungiswa kwe-overclocking

Uhlelo lusebenza ngaphandle kokufakwa. Ngemva kokuqaliswa, iwindi lizovela ngaphambi kwakho.

Ukuze uqale ukudlula, kufanele uqale wazi i-generator yakho ye-clock (PLL). Ngeshwa, akulula ukumbona. Abanikazi bamakhompiyutha bangaphazamisa i-unit unit futhi bathole ulwazi oludingekayo ngesandla. Le datha ibheka kanje:

Izindlela zokuhlonza izinombolo ze-PLL

Uma une laptop noma ungafuni ukuxuba i-PC, khona-ke kunezindlela ezimbili zokuthola i-PLL yakho.

1. Hamba lapha futhi ubuke i-laptop yakho etafuleni.
Uhlelo lwe-SetFSB luzosiza ukunquma ukuqina kwe chip PLL ngokwayo.

Ake sicabangele indlela yesibili. Shintshela "kuthebhu"Ukuxilongwa", ohlwini oludonsela phansi"Ijenereli yewashi"khetha"Ukuhlolwa kwe-PLL"bese uchofoza"Fsb".

Siwela ngezansi ensimini "Ama-Registry Control Reglisters"bese ubona itafula lapho. Sibheka ikholomu 07 (lokhu kuyi-ID ye-Vendor) futhi ubheke inani lomugqa wokuqala:

• uma inani lilingana ne-xE - bese i-PLL evela ku-Realtek, isibonelo, RTM520-39D;
• uma inani liyi-x1 - ke i-PLL evela ku-IDT, isibonelo, ICS952703BF;
• uma inani liyi-x6 - i-PLL esuka ku-SILEGO, isibonelo, i-SLG505YC56DT;
• uma inani liyi-x8 - i-PLL esuka ku-Silicon Labs, isibonelo, i-CY28341OC-3.

x yinoma iyiphi inombolo.

Ngezinye izikhathi kunokwenzeka ukuthi kube khona okuhlukile, ngokwesibonelo, ngenxa yezinhlamvu ezivela ku-Silicon Labs - kulokhu i-ID yomthengisi ngeke ibe khona nge-octet yesikhombisa (07), kodwa okwesithupha (06).

Isheke lokuvikelwa ngokweqile

Ungathola ukuthi kukhona ukuvikelwa kwe-hardware ngokumelene nesofthiwe engaphezu kwesofthiwe:

• bheka emkhakheni "Ama-Registry Control Reglisters"kukholomu 09 bese uchofoza inani lomugqa wokuqala;
• bheka emkhakheni "Bin"bese uthola kule nombolo yesithupha. Sicela uqaphele ukuthi inani lokubala kufanele liqale ngomunye! Ngakho-ke, uma u-bit wokuqala u-zero, khona-ke inani lesithupha lizoba yidijithi yesikhombisa;
• Uma isithupha lesithupha lilingana no-1 - bese lidlula ngokwe-SetFSB udinga i-hardware PLL mod (i-TME-mod);
• Uma i-bit yesithupha ilinganisa 0 - khona-ke i-hardware mod ayidingekile.

Qala ukudlula ngaphezulu

Bonke abasebenza nalolu hlelo bazokwenzeka kuthebhu "Ukulawula"Emkhakheni"Ijenereli yewashi"khetha i-chip yakho bese uchofoza"Fsb".

Ngaphansi kwefasitela, ngakwesokudla, uzobona imvamisa yamanje yeprosesa.

Sikukhumbuza ukuthi ukudlula ngokweqile kwenziwa ngokukhulisa imvamisa yebhasi yesistimu. Lokhu kwenzeka njalo uma uhambisa isilayidi esikhungweni ngakwesokudla. Zonke izinqamuleli ezisele ezishiywe njengoba kunjalo.

Uma udinga ukwandisa ububanzi bokulungiswa, hlola ibhokisi eduze "I-Ultra".

Kungcono ukwandisa imvamisa ngokucophelela, 10-15 MHz ngesikhathi.


Ngemuva kokulungiswa, chofoza ukhiye we- "SetFSB".

Uma emva kwalokhu i-PC yakho iqeda noma ivala, kunezizathu ezimbili zalokhu: 1) ubonise i-PLL engalungile; 2) ukwandisa kakhulu imvamisa. Yebo, uma konke kwenziwe kahle, imvamisa yeprosesa izanda.

Yini okufanele uyenze ngemva kokugqwabanisa?

Kudingeka sithole ukuthi i-computer isimeme kangakanani esimeni esisha. Lokhu kungenziwa, isibonelo, kumageyimu noma izinhlelo zokuhlola ezikhethekile (Prime95 noma abanye). Futhi, hlala ukushisa, ukuze ugweme ukushisa okungaphezulu okungenzeka kube ngaphansi komthwalo kwiprosesa. Ngokuhambisana nezivivinyo, sebenzisa uhlelo lokuqapha lokushisa (CPU-Z, HWMonitor, noma abanye). Izivivinyo zenziwa kahle cishe imizuzu engu-10-15. Uma konke kusebenza kahle, khona-ke ungahlala emvamisa entsha noma uqhubeke ukwandisa ngokwenza zonke izenzo ezingenhla ngendlela entsha.

Indlela yokwenza i-PC igijime ngemvamisa entsha?

Kufanele uvele wazi, uhlelo lusebenza ngemvamisa entsha ngaphambi nje kokuqala kabusha. Ngakho-ke, ukuze ikhompiyutha ihlale iqala ngevolumu yesistimu entsha yebhasi, kuyadingeka ukuthi uhlelo luzimele ngokuzenzakalelayo. Lokhu kuyadingeka uma ufuna ukusebenzisa ikhompuyutha yakho eyengeziwe ngokweqile. Kodwa-ke, kulokhu ngeke kube ngokumane nje ufake uhlelo "ifolda" ifolda. Kukhona indlela yokwenza lokhu - ukudala ibha-script.

Ivula "I-Notepad", lapho sizokwenza khona umbhalo. Sibhala umugqa lapho, into enjengale:

C: Desktop SethaFSB 2.2.129.95 setfsb.exe -w15 -s668 -cg [ICS9LPR310BGLF]

UKUKHONA! Ungalokothi ulandele lo mzila! Kufanele ube nenye!

Ngakho, siyihlaziya:

C: Ideskithophu SethaFSB 2.2.129.95 setfsb.exe yindlela eya ku-Umbuso ngokwawo. Ungakwazi ukuhlukanisa indawo nenguqulo yohlelo!
-w15 - ukubambezeleka ngaphambi kokuqala kohlelo (kulinganiswa ngemizuzwana).
-s668 - izilungiselelo ezingaphezu kwe-overclocking. Inombolo yakho izohluka! Ukuze ufunde, bheka insimu eluhlaza kuthebhu Yokulawula yalolu hlelo. Kuzoba nezinombolo ezimbili ku-slash. Thatha inombolo yokuqala.
-cg [ICS9LPR310BGLF] - imodeli ye-PLL yakho. Le datha ungaba nokunye! Kubakaki abesikwelekile kuyadingeka ukungena imodeli ye-PLL yakho njengoba kuchaziwe ku-SetFSB.

Ngendlela, kanye ne-SetFSB ngokwayo, uzothola ifayela lefayela setfsb.txt, lapho ungathola khona enye imingcele bese uyayisebenzisa uma kunesidingo.

Ngemva kokudalwa kwekhiyimu, londoloza ifayela njenge .bat.

Isinyathelo sokugcina ukwengeza i-bat ukuze ulayishe ngokuzenzakalela ngokuhambisa isinqamuleli kufolda "Ukulayisha ngokuzenzekelayo"noma ngokuhlela ukubhalisa (le ndlela oyothola kuyi-intanethi).

Bheka futhi: Amanye amathuluzi we-CPU odlula

Kulesi sihloko, sihlolisise indlela yokugqoka kahle iprosesa ngokusebenzisa uhlelo lweSetFSB. Lena inqubo yokucindezela ekugcineni ezokwenyusa ukwanda okubonakalayo ekusebenzeni kweprosesa. Sithemba ukuthi uzophumelela, futhi uma unemibuzo, babuze emibhalweni, sizoziphendula.